Preview

Пластические массы

Расширенный поиск

Анализ микроструктуры полировальных материалов на основе полиуретанов

https://doi.org/10.35164/0554-2901-2021-1-2-3-6

Аннотация

В данной работе представлены результаты анализа пористой структуры полировальных материалов на основе полиуретанов, применяемых в процессе химико-механической планаризации слоев интегральных схем.

Об авторах

Д. И. Терашкевич
Российский Государственный университет им. А.Н. Косыгина
Россия

Москва



Е. С. Бокова
Российский Государственный университет им. А.Н. Косыгина
Россия

Москва



А. С. Гинзбург
Российский Государственный университет им. А.Н. Косыгина
Россия

Москва



Г. М. Коваленко
Российский Государственный университет им. А.Н. Косыгина
Россия

Москва



Список литературы

1. Zantye P.B., Kumar A., Sikder A.K.: Chemical mechanical planarization for microelectronic applications // Mater. Sci. Eng. Rep. 45, 89, FL, USA (2004).

2. Shin Hwa Li, Robert O. Miller, Chemical Mechanical Polishing in Silicon Processing, Volume 63 Semiconductors and Semimetals Vol 63, Academic Press / Lee M. Cook, Consumables 11: Pad, pp. 155- 157 (307), San Diego, CA 92101-4495, USA (2000).

3. A. Prasad, G. Fotou, and S. Li: The eff ect of polymer hardness, pore size, and porosity on the performance of thermoplastic polyurethanebased chemical mechanical polishing pads. Cabot Microelectronics Corporation (2013).

4. M.R. Oliver (ed.), Chemical-Mechanical Planarization of Semiconductor Materials, pp. 167–213, (Springer-Verlag, Heidelberg, Germany, 2004).

5. L.M. Cook, Semiconductors and Semimetals, Vol. 63, Chapter 6, Academic Press (2000).

6. Тихомиров В.Б. Физико-химические основы получения нетканых материалов. – Легкая индустрия, Москва, 1969. – 328 С.

7. Бокова Е.С., Андрианова Г.П. Полиуретаны в производстве искусственных и синтетических кож // Полиуретановые технологии. Журнал. М. №4. (17) С. 38-45, (2008).

8. Бокова Е.С., Андрианова Г.П. Особенности формирования пористых материалов из растворов ПЭУ при их фазовом разделении // Полиуретановые технологии. Журнал. М. №1 (20). С. 34-37 (2009).

9. E.S. Bokova, G.P. Andrianova Modifi cation of composites for production of porous polymeric materials and coatings by incorporation of interpolymer complexes // Fibre Chemistry, 38 (6), pp. 466-470 (2006).

10. Бокова Е.С. Направленное регулирование процессов структурообразования волокнисто–пористых композиционных материалов на основе растворов полиэфируретанов. Монография. – М.: РИО МГУДТ (2012).

11. Bokova E.S., Kovalenko G.M., Lavrentev A.V., Kalinin M.V. Targeted сontrol of the structure formation process in production of new synthetic leathers // Fibre Chemistry, vol. 46, Issue 4, pp. 312-316 (2015).

12. Li, S., Gaudet, G., Nair, J. ILD CMP with Silica Abrasive ParticlesEff ect of Pore Size of CMP Pad on Removal Rate Profi les (2013).

13. Yim, R., Perrot, C., Balan, V., Friot, P.-Y., Qian, B., Chiou, N., Valette, S. Chemical mechanical balance management through pad microstructure in CMP (2018).

14. C.L. Elmufdi and G.P. Muldowney: The impact of diamond conditioning on surface contact in CMP pads. In Mat. Res. Soc. Symp. Proc.: Advances and challenges in CMP, edited by S. V. Babu, K. C. Cadien, J. G. Ryan, and H. Yano 991, pp. C01-02 MRS, Warrendale, PA, (2007).

15. C.L. Markham: 12th Int. CMP-MIC Conf. Proc., Vol. 20, Fremont, CA, (2007).

16. Prasad, A., Fotou, G., & Li, S. The eff ect of polymer hardness, pore size, and porosity on the performance of thermoplastic polyurethanebased chemical mechanical polishing pads, (2013).

17. Gregory P. Muldowney and David B. James Characterization of CMP Pad Surface Texture and Pad-Wafer Contact (2011).

18. A.S. Lawing, Proceedings of MRS Meeting, Vol. 732E (2002).


Рецензия

Для цитирования:


Терашкевич Д.И., Бокова Е.С., Гинзбург А.С., Коваленко Г.М. Анализ микроструктуры полировальных материалов на основе полиуретанов. Пластические массы. 2021;(1-2):3-6. https://doi.org/10.35164/0554-2901-2021-1-2-3-6

For citation:


Terashkevich D.I., Bokova E.S., Ginzburg A.S., Kovalenko G.M. Microstructure analysis of polyurethane based polishing materials. Plasticheskie massy. 2021;(1-2):3-6. (In Russ.) https://doi.org/10.35164/0554-2901-2021-1-2-3-6

Просмотров: 338


Creative Commons License
Контент доступен под лицензией Creative Commons Attribution 4.0 License.


ISSN 0554-2901 (Print)